Peripheral Output via GPIO Matrix

Mike1987
Posts: 4
Joined: Mon Oct 07, 2024 9:54 pm

Peripheral Output via GPIO Matrix

Postby Mike1987 » Tue Oct 08, 2024 5:21 am

Hi. I first time in here. Please help me to understand Peripheral Output via GPIO Matrix.

My board EPS-WROOM32. Chip ESP32-D0WDQ6

• Set the GPIO_FUNCx_OEN_SEL bits and GPIO_ENABLE_DATA[x] to enable output mode, or clear
GPIO_FUNCx_OEN_SEL to zero so that the output enable signal will be decided by the internal logic
function.
What's means "internal logic function"?

MicroController
Posts: 1636
Joined: Mon Oct 17, 2022 7:38 pm
Location: Europe, Germany

Re: Peripheral Output via GPIO Matrix

Postby MicroController » Tue Oct 08, 2024 2:04 pm

From the ESP32-S3's TRM:
To have the output enable signal decided by internal logic (for example, the SPIQ_oe in column “Output enable signal when GPIO_FUNCn_OEN_SEL = 0” in Table 6-2), clear the bit GPIO_FUNCx_OEN_SEL instead
So some peripherals, like the SPI in QIO mode, may need to dynamically switch a pin's input/output according to their "internal logic".

Who is online

Users browsing this forum: Bing [Bot] and 87 guests